40Gb/s QSFP+ LR4, 10km PSM 1310nm SFP Trosglwyddydd JHA-QC10

Disgrifiad Byr:

40Gb/s 10km QSFP+ Transceiver Hot Pluggable, Cysylltydd MTP/MPO, Modd Sengl


Trosolwg

Lawrlwythwch

Nodweddion:

◊ 4 sianel dwplecs llawn annibynnol

◊ Hyd at 11.2Gbps fesul lled band sianel

◊ Lled band cyfanredol o > 40Gbps

◊ Cysylltydd MTP/MPO

◊ Yn cydymffurfio â Safon Ethernet 40G IEEE802.3ba a 40GBASE-LR4

◊ Cydymffurfio â QSFP MSA

◊ Trawsyriant hyd at 10km

◊ Cydymffurfio â chyfraddau data Infiniband QDR/DDR

◊ Cyflenwad pŵer sengl +3.3V yn gweithredu

◊ Swyddogaethau diagnostig digidol adeiledig

◊ Amrediad tymheredd 0 ° C i 70 ° C

◊ Rhan sy'n Cydymffurfio â RoHS

Ceisiadau:

◊ Rac i rac

◊ Switshis a Llwybryddion canolfannau data

◊ Rhwydweithiau metro

◊ Switsys a Llwybryddion

◊ Cysylltiadau Ethernet BASE-LR4-PSM 40G

Disgrifiad:

Mae'r JHA-QC10 yn fodiwl transceiver a gynlluniwyd ar gyfer cymwysiadau cyfathrebu optegol 10km.Mae'r dyluniad yn cydymffurfio â 40GBASE-LR4 o safon IEEE P802.3ba.Mae'r modiwl yn trosi 4 sianel mewnbwn (ch) o ddata trydanol 10Gb/s i 4 signal optegol, ac yn eu amlblecsu i mewn i un sianel ar gyfer trosglwyddiad optegol 40Gb/s.I'r gwrthwyneb, ar ochr y derbynnydd, mae'r modiwl yn dad-amlblecsu yn optegol fewnbwn 40Gb/s i signalau 4 sianel, ac yn eu trosi i ddata trydanol allbwn 4 sianel.

Mae tonfeddi canolog y 4 sianel yn 1310 nm fel aelodau o'r grid tonfedd a ddiffinnir yn ITU-T G694.2.Mae'n cynnwys cysylltydd MTP / MPO ar gyfer y rhyngwyneb optegol a chysylltydd 38-pin ar gyfer y rhyngwyneb trydanol.Er mwyn lleihau'r gwasgariad optegol yn y system pellter hir, mae'n rhaid defnyddio ffibr un modd (SMF) yn y modiwl hwn.

Mae'r cynnyrch wedi'i ddylunio gyda ffactor ffurf, cysylltiad optegol / trydanol a rhyngwyneb diagnostig digidol yn unol â Chytundeb Aml-Ffynhonnell QSFP (MSA).Fe'i cynlluniwyd i fodloni'r amodau gweithredu allanol llymaf gan gynnwys tymheredd, lleithder ac ymyrraeth EMI.

Mae'r modiwl yn gweithredu o un cyflenwad pŵer +3.3V ac mae signalau rheoli byd-eang LVCMOS/LVTTL fel Modiwl Presennol, Ailosod, Ymyriad a Modd Pŵer Isel ar gael gyda'r modiwlau.Mae rhyngwyneb cyfresol 2-wifren ar gael i anfon a derbyn signalau rheoli mwy cymhleth ac i gael gwybodaeth ddiagnostig ddigidol.Gellir mynd i'r afael â sianeli unigol a gellir cau sianeli nas defnyddir er mwyn sicrhau'r hyblygrwydd dylunio mwyaf posibl.

Mae'r TQPM10 wedi'i ddylunio gyda ffactor ffurf, cysylltiad optegol / trydanol a rhyngwyneb diagnostig digidol yn unol â Chytundeb Aml-Ffynhonnell QSFP (MSA).Fe'i cynlluniwyd i fodloni'r amodau gweithredu allanol llymaf gan gynnwys tymheredd, lleithder ac ymyrraeth EMI.Mae'r modiwl yn cynnig ymarferoldeb uchel iawn ac integreiddio nodweddion, sy'n hygyrch trwy ryngwyneb cyfresol dwy wifren.

Sgoriau Uchaf Absoliwt

Paramedr

Symbol

Minnau.

Nodweddiadol

Max.

Uned

Tymheredd Storio

TS

-40

 

+85

°C

Foltedd Cyflenwi

VCCT, R

-0.5

 

4

V

Lleithder Cymharol

RH

0

 

85

%

ArgymhellirAmgylchedd Gweithredu:

Paramedr

Symbol

Minnau.

Nodweddiadol

Max.

Uned

Tymheredd gweithredu achos

TC

0

 

+70

°C

Foltedd Cyflenwi

VCCT, R

+3.13

3.3

+3.47

V

Cyfredol Cyflenwi

ICC

 

 

1000

mA

Gwasgariad Pŵer

PD

 

 

3.5

W

Nodweddion Trydanol(TOP = 0 i 70 °C, VCC = 3.13 i 3.47 Folt

Paramedr

Symbol

Minnau

Teip

Max

Uned

Nodyn

Cyfradd Data fesul Sianel

 

-

10.3125

11.2

Gbps

 

Defnydd Pŵer

 

-

2.5

3.5

W

 

Cyfredol Cyflenwi

Icc

 

0.75

1.0

A

 

Rheoli I/O Foltedd-Uchel

VIH

2.0

 

Vcc

V

 

Rheoli I/O Foltedd-Isel

VIL

0

 

0.7

V

 

Sgiw Rhyng-Sianel

TSK

 

 

150

Ps

 

RESTL Hyd

 

 

10

 

Us

 

RESELL Amser dad-haeru

 

 

 

100

ms

 

Pŵer Ar Amser

 

 

 

100

ms

 

Trosglwyddydd
Goddefiant Foltedd Allbwn Allbwn Sengl

 

0.3

 

4

V

1

Modd cyffredin Goddefgarwch Foltedd

 

15

 

 

mV

 

Trosglwyddo Mewnbwn Diff Foltedd

VI

150

 

1200

mV

 

Trosglwyddo Rhwystrau Diff Mewnbwn

ZIN

85

100

115

 

 

Gyrrwr Mewnbwn Dibynnol ar Ddata

DDJ

 

0.3

 

UI

 

Derbynnydd
Goddefiant Foltedd Allbwn Allbwn Sengl

 

0.3

 

4

V

 

Foltedd Diff Allbwn Rx

Vo

370

600

950

mV

 

Foltedd Allbwn Rx Cynnydd a Chwymp

Tr/Tf

 

 

35

ps

1

Cyfanswm jitter

TJ

 

0.3

 

UI

 

Nodyn:

  1. 2080%

Paramedrau Optegol(TOP = 0 i 70°C, VCC = 3.0 i 3.6 folt)

Paramedr

Symbol

Minnau

Teip

Max

Uned

Cyf.

Trosglwyddydd

 

 

Aseiniad Tonfedd

 

1300

1311. llarieidd-dra eg

1320

nm

 

Cymhareb Atal Modd Ochr

SMSR

30

-

-

dB

 

Pŵer Optegol Cyfartalog fesul Sianel

 

-5

-

+1

dBm

 

TDP, pob Lôn

TDP

 

 

2.3

dB

 

Cymhareb Difodiant

ER

3.5

-

-

dB

 
Diffiniad Mwgwd Llygad Trosglwyddydd {X1, X2, X3, Y1, Y2, Y3}

 

{0.25, 0.4, 0.45, 0.25, 0.28, 0.4}    

 

 
Goddefiant Colled Dychweliad Optegol

 

-

-

20

dB

 

Cyfartaledd Lansio Power OFF Trosglwyddydd, bob Lôn

Poff

 

 

-30

dBm

 

Sŵn Dwysedd Cymharol

Rin

 

 

-128

dB/HZ

1

Goddefiant Colled Dychweliad Optegol

 

-

-

12

dB

 

Derbynnydd
Trothwy Difrod

THd

3.3

 

 

dBm

1
Pŵer Cyfartalog ar Fewnbwn y Derbynnydd, bob Lôn

R

-12.6

 

0

dBm

 

Derbyn Trydanol 3 dB Amlder Torri i ffwrdd, bob Lôn

 

 

 

12.3

GHz

 

RSSI Cywirdeb

 

-2

 

2

dB

 

Myfyrdod Derbynnydd

Rrx

 

 

-26

dB

 

Pŵer Derbynnydd (OMA), bob Lôn

 

-

-

3.5

dBm

 

Derbyn Trydanol 3 dB Toriad Amlder uchaf, bob Lôn

 

 

 

12.3

GHz

 

LOS De-Hallu

LOSD

 

 

-13

dBm

 

LOS Haeru

LOSA

-25

 

 

dBm

 

LOS Hysteresis

LOSH

0.5

 

 

dB

 

Nodyn

  1. 12dB Myfyrdod

Rhyngwyneb Monitro Diagnostig

Mae swyddogaeth monitro diagnosteg ddigidol ar gael ar bob QSFP+ LR4.Mae rhyngwyneb cyfresol 2-wifren yn darparu defnyddiwr i gysylltu â modiwl.Mae strwythur y cof yn cael ei ddangos yn llifo.Mae'r gofod cof wedi'i drefnu'n dudalen sengl is, gofod cyfeiriad o 128 beit a thudalennau gofod cyfeiriad uchaf lluosog.Mae'r strwythur hwn yn caniatáu mynediad amserol i gyfeiriadau ar y dudalen isaf, megis Baneri Ymyrrol a Monitorau.Mae cofnodion amser critigol llai o amser, megis gwybodaeth ID cyfresol a gosodiadau trothwy, ar gael gyda'r swyddogaeth Dewis Tudalen.Y cyfeiriad rhyngwyneb a ddefnyddir yw A0xh ac fe'i defnyddir yn bennaf ar gyfer data critigol amser fel trin ymyriadau er mwyn galluogi darlleniad un-amser ar gyfer yr holl ddata sy'n gysylltiedig â sefyllfa ymyrraeth.Ar ôl toriad, mae IntL wedi'i haeru, gall y gwesteiwr ddarllen maes y faner i bennu'r sianel yr effeithir arni a'r math o faner.

4 6 54 67

Tudalen 02 yw Defnyddiwr EEPROM a'r defnyddiwr sy'n penderfynu ar ei fformat.

Disgrifiad manwl o gof isel a chof uchaf page00.page03 gweler dogfen SFF-8436.

Amseru ar gyfer Rheolaeth Feddal a Swyddogaethau Statws

Paramedr

Symbol

Max

Uned

Amodau

Amser Cychwyn t_init 2000 ms Amser o bŵer ymlaen1, plwg poeth neu ymyl codi Ailosod nes bod y modiwl yn gwbl weithredol2
Ailosod Amser Cychwyn Cychwyn t_reset_init 2 μs Mae Ailosod yn cael ei gynhyrchu gan lefel isel sy'n hirach na'r isafswm amser pwls ailosod sy'n bresennol ar y pin ResetL.
Amser Parod Caledwedd Bws Cyfresol t_cyfres 2000 ms Amser o bŵer ymlaen 1 nes bod modiwl yn ymateb i drosglwyddo data dros y bws cyfresol 2-wifren
Monitro Data'n BarodAmser t_data 2000 ms Amser o'r pŵer ymlaen 1 i'r data ddim yn barod, did 0 o Byte 2, wedi'i ddeisyfu ac wedi'i haeru gan IntL
Ailosod Assert Time t_ailosod 2000 ms Amser o ymyl codi ar y pin ResetL nes bod y modiwl yn gwbl weithredol2
LPMod Assert Time tunnell_LPMod 100 μs Amser o honni LMode (Vin:LPMode = Vih) nes bod defnydd pŵer modiwl yn cyrraedd Lefel Pŵer is
IntL Haeru Amser tunnell_IntL 200 ms Amser o ddigwyddiad cyflwr sy'n sbarduno IntL tan Vout:IntL = Cyf
Amser IntL Deassert toff_IntL 500 μs toff_IntL 500 μs Amser o glir ar weithrediad read3 y faner gysylltiedig tan Vout:IntL = Voh.Mae hyn yn cynnwys amseroedd deassert ar gyfer Rx LOS, Tx Fault a darnau baner eraill.
Rx LOS Haeru Amser tunnell_los 100 ms Amser o gyflwr Rx LOS i set did Rx LOS a haerodd IntL
Baner Assert Time tunnell_flag 200 ms Amser o ddigwyddiad cyflwr sy'n sbarduno fflag i set did fflagiau cysylltiedig a haerodd IntL
Mwgwd Assert Amser tunnell_mwgwd 100 ms Amser o set4 did mwgwd nes bod honiad IntL cysylltiedig wedi'i atal
Mwgwd De-assert Time toff_mwgwd 100 ms Amser o'r mwgwd wedi'i glirio4 nes bod gweithrediad IntlL cysylltiedig yn ailddechrau
ModSelL Dynodi Amser tunnell_ModSelL 100 μs Amser o honiad ModSelL nes bod modiwl yn ymateb i drosglwyddo data dros y bws cyfresol 2-wifren
ModSelL Amser Deassert toff_ModSelL 100 μs Amser o agoriad ModSelL nes nad yw'r modiwl yn ymateb i drosglwyddo data dros y bws cyfresol 2-wifren
Power_over-ride neuPower-set Assert Time tunnell_Pdown 100 ms Amser o P_Down set did 4 nes bod defnydd pŵer modiwl yn cyrraedd Lefel Pŵer is
Power_over-ride neu Power-set De-sert Time toff_Pdown 300 ms Amser o P_Down did wedi'i glirio4 nes bod y modiwl yn gwbl weithredol3

Nodyn:

1. Diffinnir pŵer ymlaen fel yr amrantiad pan fydd folteddau cyflenwad yn cyrraedd ac yn aros ar yr isafswm gwerth penodedig neu'n uwch na hynny.

2. Diffinnir cwbl weithredol fel IntL haerodd oherwydd data ddim yn barod did, did 0 beit 2 dad-haeru.

3. Wedi'i fesur o ymyl cloc sy'n disgyn ar ôl trafodiad stop bit of read.

4. Wedi'i fesur o ymyl y cloc yn disgyn ar ôl trafodiad stop bit of write.

Diagram Bloc Transceiver

 43

lAseiniad Pin

54 

Diagram o Gysylltydd Bwrdd Gwesteiwr Rhifau Pin Bloc ac Enw

PinDisgrifiad

Pin

Rhesymeg

Symbol

Enw/Disgrifiad

Cyf.

1

 

GND

Daear

1

2

CML-I

Tx2n

Mewnbwn Data Trosglwyddydd Gwrthdro

 

3

CML-I

Tx2p

Allbwn Data Trosglwyddydd Di-wrthdro

 

4

 

GND

Daear

1

5

CML-I

Tx4n

Allbwn Data Trosglwyddydd Gwrthdro

 

6

CML-I

Tx4p

Allbwn Data Trosglwyddydd Di-wrthdro

 

7

 

GND

Daear

1

8

LVTTL-I

ModSelL

Dewis Modiwl

 

9

LVTTL-I

AilosodL

Ailosod Modiwl

 

10

 

VccRx

+3.3V Derbynnydd Cyflenwad Pŵer

2

11

LVCMOS-I/O

SCL

Cloc Rhyngwyneb Cyfresol 2-Wire

 

12

LVCMOS-I/O

SDA

Data Rhyngwyneb Cyfresol 2-Wire

 

13

 

GND

Daear

1

14

CML-O

Rx3p

Allbwn Data Gwrthdro Derbynnydd

 

15

CML-O

Rx3n

Derbynnydd Allbwn Data Di-wrthdro

 

16

 

GND

Daear

1

17

CML-O

Rx1p

Allbwn Data Gwrthdro Derbynnydd

 

18

CML-O

Rx1n

Derbynnydd Allbwn Data Di-wrthdro

 

19

 

GND

Daear

1

20

 

GND

Daear

1

21

CML-O

Rx2n

Allbwn Data Gwrthdro Derbynnydd

 

22

CML-O

Rx2p

Derbynnydd Allbwn Data Di-wrthdro

 

23

 

GND

Daear

1

24

CML-O

Rx4n

Allbwn Data Gwrthdro Derbynnydd

 

25

CML-O

Rx4p

Derbynnydd Allbwn Data Di-wrthdro

 

26

 

GND

Daear

1

27

LVTTL-O

ModPrsL

Modiwl Presennol

 

28

LVTTL-O

IntL

Torri ar draws

 

29

 

VccTx

+3.3V Trosglwyddydd Cyflenwad Pŵer

2

30

 

Vcc1

+3.3V Cyflenwad Pŵer

2

31

LVTTL-I

LPMode

Modd Pŵer Isel

 

32

 

GND

Daear

1

33

CML-I

Tx3p

Allbwn Data Trosglwyddydd Gwrthdro

 

34

CML-I

Tx3n

Allbwn Data Trosglwyddydd Di-wrthdro

 

35

 

GND

Daear

1

36

CML-I

Tx1p

Allbwn Data Trosglwyddydd Gwrthdro

 

37

CML-I

Tx1n

Allbwn Data Trosglwyddydd Di-wrthdro

 

38

 

GND

Daear

1

Nodiadau:

  1. GND yw'r symbol ar gyfer sengl a chyflenwad (pŵer) sy'n gyffredin ar gyfer modiwlau QSFP, Mae pob un yn gyffredin o fewn y modiwl QSFP a chyfeirir at bob foltedd modiwl at y potensial hwn a nodir fel arall.Cysylltwch y rhain yn uniongyrchol i awyren ddaear gyffredin signal y bwrdd cynnal.Allbwn laser wedi'i analluogi ar TDIS > 2.0V neu agored, wedi'i alluogi ar TDIS <0.8V.
  2. VccRx, Vcc1 a VccTx yw'r cyflenwyr pŵer derbynnydd a throsglwyddydd a rhaid eu cymhwyso ar yr un pryd.Dangosir hidlo cyflenwad pŵer bwrdd gwesteiwr a argymhellir isod.Gellir cysylltu VccRx, Vcc1 a VccTx yn fewnol o fewn y modiwl transceiver QSFP mewn unrhyw gyfuniad.Mae pob un o'r pinnau cysylltydd wedi'u graddio ar gyfer uchafswm cerrynt o 500mA.

Lonydd Rhyngwyneb Optegol ac Aseiniad

Mae'r ffigur isod yn dangos cyfeiriadedd ffasedau ffibr aml-ddull y cysylltydd optegol

 43 

Golygfa Allanol MPO Modiwl QSFP

Ffibr Rhif. Aseiniad Lôn
1 RX0
2 RX1
3 RX2
4 RX3
5 Na chaiff ei ddefnyddio
6 Na chaiff ei ddefnyddio

Tabl Aseiniad Lôn

Cylchdaith a Argymhellir

 54

Dimensiynau Mecanyddol

 65


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom