100Gb/S Multimode 100m |MTP/MPO Connector QSFP28 Transceiver JHA-Q28C01

Famaritana fohy:

100Gb/s 100m QSFP28 Transceiver Hot Pluggable, MTP/MPO Connector, 850NM, VCSEL, Multimode


Overview

DOWNLOAD

Toetoetra:

♦ fantsona 4 tsy miankina feno duplex

♦ Hatramin'ny 27.95Gbps isaky ny fantsona fantsona

♦ Bandwidth mitambatra > 100Gbps

♦ MTP/MPO Optical connector

♦ QSFP28 MSA mifanaraka

♦ Mifanaraka amin'ny IEEE 802.3-2012 Clause 88 standard IEEE 802.3bm CAUI-4 chip ho an'ny fenitra elektrika ITU-T G.959.1-2012-02

♦ Fahaizana diagnostika nomerika

♦ Famatsiana herinaratra tokana + 3.3V miasa

♦ Ny mari-pana 0°C hatramin’ny 70°C

♦ Ampahany mifanaraka amin'ny RoHS

Fampiharana:

♦ Tambajotra eo an-toerana (LAN)

♦ Wide Area Network (WAN)

♦ Switches Ethernet sy fampiharana router

Famaritana:

Ny JHA-Q28C01 dia mody transceiver natao ho an'ny fampiharana fifandraisana optika 100m.Ny famolavolana dia mifanaraka amin'ny 100GbASE-SR4 an'ny IEEE 802.3-2012 Clause 88 standard IEEE 802.3bm CAUI-4 chip ho an'ny maody elektrika fenitra ITU-T G.959.1-2012-02.Ny maodely dia mamadika fantsona 4 inputs (ch) amin'ny angon-drakitra elektrika 25.78Gbps mankany 27.95Gbps amin'ny tsipika optika 4, ary mampifangaro azy ireo ho fantsona tokana ho an'ny fifindran'ny optika 100Gb / s.Mifanohitra amin'izany kosa, eo amin'ny lafiny mpandray, ny maodely dia mamaha ny fampidirana 100Gb / s amin'ny alàlan'ny famantarana 4, ary mamadika azy ireo ho angon-drakitra elektrika 4.

Cable fibre optique miaraka amin'ny mpampitohy MPO/MTP amin'ny farany tsirairay dia miditra ao amin'ny fitoeran'ny maody QSFP28.Ny fiorenan'ny tariby ribbon dia "voahidy" ary misy tsimatra mpitari-dalana ao anatin'ny fitoeran'ny maody mba hiantohana ny fampifanarahana tsara.Ny telegrama matetika dia tsy misy miolakolaka (key up to key up) mba hiantohana ny fantsona mety amin'ny fampifanarahana ny fantsona.Ny fifandraisana elektrika dia tratra na dia misy connecteur IPASS® 38-pin azo zahana z-pluggable.

Ny maody dia miasa amin'ny famatsiana herinaratra tokana + 3.3V ary ny famantarana fanaraha-maso manerantany LVCMOS/LVTTL toy ny Module Present, Reset, Interrupt ary Low Power Mode dia misy miaraka amin'ireo maody.Misy tariby serial 2-tariby azo ampiasaina handefasana sy handraisana famantarana fanaraha-maso sarotra kokoa ary hahazoana fampahalalana diagnostika nomerika.Ny fantsona tsirairay dia azo resahina ary ny fantsona tsy ampiasaina dia azo akatona ho an'ny fahafaha-mamolavola ambony indrindra.

Ny JHA-Q28C01 dia novolavolaina miaraka amin'ny endrika endrika, fifandraisana optika / elektrika ary interface diagnostika nomerika araka ny fifanarahana QSFP28 Multi-Source (MSA).Izy io dia natao hifanaraka amin'ny fepetra fiasana ivelany henjana indrindra ao anatin'izany ny mari-pana, ny hamandoana ary ny fitsabahan'ny EMI.Ny maodely dia manolotra fiasa avo lenta sy fampidirana endri-javatra, azo idirana amin'ny alàlan'ny interface serial tariby roa.

Naoty ambony indrindra

fikirana

marika famantarana

Min.

mahazatra

Max.

Unit

Temperature fitehirizana

TS

-40

 

+85

°C

Voltage famatsiana

VCCT, R

-0.5

 

4

V

Hamandoana Relative

RH

0

 

85

%

soso-kevitraTontolo iainana miasa:

fikirana

marika famantarana

Min.

mahazatra

Max.

Unit

Temperature miasa amin'ny raharaha

TC

0

 

+70

°C

Voltage famatsiana

VCCT, R

+3.13

3.3

+3.47

V

Supply Current

ICC

 

 

1000

mA

Fanaparitahana hery

PD

 

 

3.5

W

Toetra elektrônika(TOP = 0 hatramin'ny 70 °C, VCC = 3.13 hatramin'ny 3.47 Volts

fikirana

marika famantarana

Min

Typ

Max

Unit

Fanamarihana

Ny tahan'ny data isaky ny fantsona

 

-

25.78125

 

Gbps

 

Fanjifana herinaratra

 

-

2.5

3.5

W

 

Supply Current

Icc

 

0.75

1.0

A

 

Fanaraha-maso I/O Voltage-Avo

VIH

2.0

 

Vcc

V

 

Control I/O Voltage-Low

VIL

0

 

0.7

V

 

Inter-Channel Skew

TSK

 

 

150

Ps

 

RESETL Duration

 

 

10

 

Us

 

RESETL De-manamafy ny fotoana

 

 

 

100

ms

 

Power On Time

 

 

 

100

ms

 

fitaovana fandefasam-peo
Fandeferana amin'ny fandeferana amin'ny toera-pamokarana tokana

 

0.3

 

4

V

1

Common mode Voltage Tolerance

 

15

 

 

mV

 

Mampita Input Diff Voltage

VI

120

 

1200

mV

 

Mandefa Input Diff Impedance

Zina

80

100

120

 

 

Data miankina amin'ny fampidirana Jitter

DDJ

 

 

0.1

UI

 

Data Input Total Jitter

TJ

 

 

0.28

UI

 

Mpandray
Fandeferana amin'ny fandeferana amin'ny toera-pamokarana tokana

 

0.3

 

4

V

 

Rx Output Diff Voltage

Vo

 

600

800

mV

 

Rx Output Fisondrotana sy Fahalavoana Voltage

Tr/Tf

 

 

35

ps

1

Jitter total

TJ

 

 

0.7

UI

 

Jitter deterministic

DJ

 

 

0.42

UI

 

Fanamarihana:

  1. 2080%

Paramètre Optical(TOP = 0 hatramin'ny 70°C, VCC = 3.0 hatramin'ny 3.6 Volts)

fikirana

marika famantarana

Min

Typ

Max

Unit

Ref.

fitaovana fandefasam-peo
Optical Wavelength

λ

840

 

860

nm

 

RMS Spectral Width

Pm

 

0.5

0.65

nm

 

Hery Optical salan'isa isaky ny fantsona

Pavg

-8

-2.5

0

dBm

 

Laser Off Power isaky ny Channel

Poff

 

 

-30

dBm

 

Optical Extinction Ratio

ER

3.5

 

 

dB

 

Relative Intensity Noise

Rin

 

 

-128

dB/HZ

1

Optical fiverenana very fandeferana

 

 

 

12

dB

 

Mpandray
Optical Center halavan'ny onjam

λC

840

 

860

nm

 

Fahatsapan'ny mpandray isaky ny fantsona

R

 

-10.5

 

dBm

 

Hery fampidirana ambony indrindra

PMAX

+0.5

 

 

dBm

 

Receiver Reflectance

Rrx

 

 

-12

dB

 

LOS De-Assert

LOSD

 

 

-14

dBm

 

LOS Assert

LOSA

-30

 

 

dBm

 

LOS Hysteresis

LOSH

0.5

 

 

dB

 

Fanamarihana

  1. 12dB Reflection

• Interface fanaraha-maso diagnostika

Ny asa fanaraha-maso diagnostika nomerika dia misy amin'ny QSFP28 SR4 rehetra.Ny interface serial 2-wire dia manome ny mpampiasa hifandray amin'ny module.Ny firafitry ny fahatsiarovana dia aseho amin'ny mikoriana.Ny habaka fitadidiana dia arindra ho pejy iray ambany, pejy tokana, habaka adiresin'ny 128 bytes ary pejy habaka adiresy ambony maro.Ity rafitra ity dia mamela ny fidirana ara-potoana amin'ny adiresy ao amin'ny pejy ambany, toy ny Interrupt Flags and Monitors.Ny fidirana amin'ny fotoan-tsarotra kely kokoa, toy ny fampahalalam-baovao momba ny ID serial sy ny firafitry ny tokonam-baravarana, dia misy miaraka amin'ny fiasa Page Select.Ny adiresin'ny interface ampiasaina dia A0xh ary ampiasaina indrindra amin'ny angon-drakitra manan-danja amin'ny fotoana toy ny fitantanana interrupt mba ahafahana mamaky indray mandeha ho an'ny angona rehetra mifandraika amin'ny toe-javatra manelingelina.Taorian'ny fahatapahana, IntL, dia nanamafy, ny mpampiantrano dia afaka mamaky ny sahan'ny saina mba hamaritana ny fantsona voakasika sy ny karazana saina.

32 9 8 7

Page02 dia EEPROM Mpampiasa ary ny format dia nofaritan'ny mpampiasa.

Ny famaritana amin'ny antsipiriany momba ny fitadidiana ambany sy ny fitadidiana ambony page00.page03 dia jereo ny antontan-taratasy SFF-8436.

Fotoana ho an'ny fanaraha-maso malefaka sy ny fampandehanana sata

fikirana

marika famantarana

Max

Unit

NATREHINAY

Fotoana fanombohana t_init 2000 ms Fotoana manomboka amin'ny herinaratra 1, plug mafana na sisiny ambony amin'ny Reset mandra-pahatonga ny maody miasa tanteraka2
Reset Init Assert Time t_reset_init 2 μs Ny Reset dia amboarina amin'ny haavo ambany kokoa noho ny fotoana faran'izay kely indrindra amin'ny pulse ResetL.
Fotoam-piomanana amin'ny Hardware Bus Serial t_serial 2000 ms Fotoana manomboka amin'ny herinaratra amin'ny 1 ka hatramin'ny module mamaly ny fifindran'ny angona amin'ny bus serial 2-wire
Vonona ny angona fanaraha-masoTime t_data 2000 ms Fotoana avy amin'ny herinaratra on1 ka hatramin'ny data tsy vonona, bit 0 amin'ny Byte 2, deasserted ary IntL nanamafy
Avereno indray ny fotoana fanamafisam-peo t_reset 2000 ms Fotoana manomboka amin'ny fisondrotry ny sisiny amin'ny pin ResetL ka hatramin'ny fampandehanana tanteraka ny maody2
LPMode Assert Time ton_LPMode 100 μs Fotoana manomboka amin'ny fanamafisan'ny LPMode (Vin:LPMode =Vih) mandra-pahatongan'ny fanjifana herinaratra mody miditra ambany kokoa.
IntL Assert Time ton_IntL 200 ms Fotoana manomboka amin'ny fisehoan'ny toe-javatra miteraka IntL ka hatramin'ny Vout:IntL = Vol
IntL Deassert Time toff_IntL 500 μs toff_IntL 500 μs Fotoana manomboka amin'ny fampandehanana read3 amin'ny saina mifandraika amin'izany ka hatramin'ny Vout:IntL = Voh.Anisan'izany ny fotoana deassert ho an'ny Rx LOS, Tx Fault ary ny sainam-pirenena hafa.
Rx LOS Assert Time ton_los 100 ms Fotoana avy amin'ny fanjakana Rx LOS mankany amin'ny Rx LOS bit set ary nanamafy ny IntL
Fanitsiana ny fotoana ton_flag 200 ms Fotoana manomboka amin'ny fisehoan'ny toe-javatra miteraka saina mankany amin'ny bits saina mifandraika ary nanamafy ny IntL
Fotoana fanamafisana saron-tava ton_saron-tava 100 ms Fotoana manomboka amin'ny maska ​​bit set4 mandra-pahatongan'ny fanamafisana IntL mifandraika dia voasakana
Mask De-Assert Time toff_mask 100 ms Fotoana nanomboka tamin'ny saron-tava 4 ka hatramin'ny niverenan'ny hetsika IntlL mifandraika
ModSelL Assert Time ton_ModSelL 100 μs Fotoana manomboka amin'ny fanamafisana ny ModSelL ka hatramin'ny module mamaly ny fampitana angon-drakitra amin'ny bus serial 2-wire
ModSelL Deassert Time toff_ModSelL 100 μs Fotoana manomboka amin'ny fanesorana ny ModSelL mandra-pahatongan'ny module tsy mamaly ny fifindran'ny data amin'ny bus serial 2-wire
Power_over-ride naHery-set Assert Time ton_Pdown 100 ms Fotoana manomboka amin'ny bit P_Down napetraka 4 mandra-pahatongan'ny fanjifana herinaratra mody miditra ambany kokoa
Power_over-ride na Power-set De-assert Time toff_Pdown 300 ms Ny fotoana manomboka amin'ny P_Down bit dia voafafa4 mandra-pahavitan'ny module3

Fanamarihana

1. Ny famatsiana herinaratra dia faritana ho ny fotoana hahatongavan'ny voltase famatsiana ary mijanona eo ambonin'ny sandany farany ambany indrindra.

2. Fampiasana tanteraka dia faritana ho IntL asserted noho ny data tsy vonona bit, bit 0 byte 2 de-asserted.

3. Refesina amin'ny latsaka ny sisin'ny famantaranandro taorian'ny fijanonana kely ny famakiana varotra.

4. Refesina amin'ny latsaka ny sisin'ny famantaranandro taorian'ny fijanonana kely ny asa fanoratana.

Transceiver Block Diagram

 6

Sary1:Block Diagram

Fanendrena Pin

5

Sarin'ny Host Board Connector Block Number Pin Numbers and Name

lPinDescription

Pin

Lojika

marika famantarana

Anarana/Famaritana

Ref.

1

 

GND

tany

1

2

CML-I

Tx2n

Transmitter Inverted Data Input

 

3

CML-I

Tx2p

Transmitter tsy mivadika angona Output

 

4

 

GND

tany

1

5

CML-I

tx4n

Transmitter Inverted Data Output

 

6

CML-I

tx4p

Famoahana angon-drakitra tsy mivadika

 

7

 

GND

tany

1

8

LVTTL-I

ModSelL

Module Select

 

9

LVTTL-I

ResetL

Reset Module

 

10

 

VccRx

+ 3.3V Famatsiana herinaratra Receiver

2

11

LVCMOS-I/O

SCL

2-Wire Serial Interface Clock

 

12

LVCMOS-I/O

SDA

2-Wire Serial Interface Data

 

13

 

GND

tany

1

14

CML-O

rx3p

Receiver Inverted Data Output

 

15

CML-O

Rx3n

Receiver Non-Inverted Data Output

 

16

 

GND

tany

1

17

CML-O

Rx1p

Receiver Inverted Data Output

 

18

CML-O

Rx1n

Receiver Non-Inverted Data Output

 

19

 

GND

tany

1

20

 

GND

tany

1

21

CML-O

Rx2n

Receiver Inverted Data Output

 

22

CML-O

Rx2p

Receiver Non-Inverted Data Output

 

23

 

GND

tany

1

24

CML-O

Rx4n

Receiver Inverted Data Output

 

25

CML-O

rx4p

Receiver Non-Inverted Data Output

 

26

 

GND

tany

1

27

LVTTL-O

ModPrsL

Module Present

 

28

LVTTL-O

IntL

manapaka

 

29

 

VccTx

+ 3.3V Power Supply Transmitter

2

30

 

Vcc1

Famatsiana herinaratra + 3.3V

2

31

LVTTL-I

LPMode

Mode Hery ambany

 

32

 

GND

tany

1

33

CML-I

tx3p

Transmitter Inverted Data Output

 

34

CML-I

Tx3n

Famoahana angon-drakitra tsy mivadika

 

35

 

GND

tany

1

36

CML-I

Tx1p

Transmitter Inverted Data Output

 

37

CML-I

Tx1n

Famoahana angon-drakitra tsy mivadika

 

38

 

GND

tany

1

Fanamarihana:

  1. GND no marika ho an'ny tokana sy famatsiana (hery) mahazatra ho an'ny QSFP28 modules, Ny rehetra dia mahazatra ao amin'ny module QSFP28 ary ny voltages modules rehetra dia manondro an'io mety hitranga raha tsy izany.Ampifandraiso mivantana amin'ny zotra fampiantranoana famantarana ny fiaramanidina iraisana.Ny famoahana laser dia kilemaina amin'ny TDIS>2.0V na misokatra, alefa amin'ny TDIS <0.8V.
  2. VccRx, Vcc1 ary VccTx no mpamatsy herinaratra sy mpampita ary hampiharina miaraka.Aseho eto ambany ny fanivanana famatsian-jiro ho an'ny birao mpampiantrano.VccRx, Vcc1 ary VccTx dia mety ho mifandray anatiny ao anatin'ny QSFP28 transceiver module amin'ny fitambarana rehetra.Ny pin connector dia samy nomena isa ho an'ny 500mA ambony indrindra.

Lalana sy fanendrena Optical Interface

Ity sary etsy ambany ity dia mampiseho ny orientation ny lafiny fibre multi-mode ny connector optika

4

Fijery ivelany ny QSFP28 Module MPO

Fibre No. Lane Assignment
1 RX0
2 RX1
3 RX2
4 RX3
5 Tsy ampiasaina
6 Tsy ampiasaina

Latabatra fanendrena an-dalana

• Circuit Recommended

2

Dimensions mekanika

43


  • teo aloha:
  • Manaraka:

  • Soraty eto ny hafatrao ary alefaso aminay