40Gb/s QSFP+ LR4, 10km PSM 1310nm SFP Transceiver JHA-QC10

Tlhaloso e Khutšoanyane:

40Gb/s 10km QSFP+ Transceiver Hot Pluggable, MTP/MPO Connector, Mokhoa o le Mong


Kakaretso

Khoasolla

Likaroloana:

◊ liteishene tse 4 tse ikemetseng tsa duplex tse felletseng

◊ Ho fihla ho 11.2Gbps ka bophara ba kanale

◊ Aggregate bandwidth ea > 40Gbps

◊ sehokelo sa MTP/MPO

◊ E lumellana le 40G Ethernet IEEE802.3ba le 40GBASE-LR4 Standard

◊ QSFP MSA e lumellana

◊ Ho fihla ho 10km phetiso

◊ E tsamaellana le litefiso tsa data tsa QDR/DDR Infiniband

◊ Motlakase o le mong oa +3.3V o sebetsa

◊ Mesebetsi ea tlhahlobo ea dijithale e hahiloeng kahare

◊ Mocheso o fapaneng 0°C ho isa ho 70°C

◊ Karolo e lumellanang ea RoHS

Lisebelisoa:

◊ Rack ho rack

◊ Litsi tsa data li-Switch le li-routers

◊ Marang-rang a Metro

◊ Li-switches le li-routers

◊ 40G BASE-LR4-PSM Ethernet Lihokelo

Tlhaloso:

JHA-QC10 ke mojule oa transceiver o etselitsoeng lits'ebetso tsa puisano tsa optical tsa 10km.Moralo o lumellana le 40GBASE-LR4 ea maemo a IEEE P802.3ba.Mojule o fetola liteishene tsa 4 tse kenang (ch) tsa data ea motlakase ea 10Gb / s ho matšoao a 4 a optical, 'me o li kopanya ka mokhoa o le mong oa 40Gb / s optical transmission.Ka lehlakoreng le leng, ka lehlakoreng la moamoheli, module optically de-multiplexes ho kenya 40Gb / s ho lipontšo tsa liteishene tsa 4, ebe e li fetolela ho data ea motlakase ea tlhahiso ea 4.

Bohareng ba maqhubu a likanale tse 4 ke 1310 nm e le litho tsa marang-rang a maqhubu a hlalositsoeng ho ITU-T G694.2.E na le sehokelo sa MTP/MPO bakeng sa sebopeho sa optical le sehokelo sa 38-pin bakeng sa sebopeho sa motlakase.Ho fokotsa ho hasana ha optical tsamaisong ea nako e telele, fiber ea mofuta o le mong (SMF) e tlameha ho sebelisoa mojuleng ona.

Sehlahisoa se entsoe ka sebopeho sa sebopeho, khokahano ea optical / motlakase le sebopeho sa tlhahlobo ea dijithale ho latela Tumellano ea QSFP Multi-Source (MSA).E etselitsoe ho kopana le maemo a thata ka ho fetisisa a ts'ebetso ea kantle ho kenyelletsa mocheso, mongobo le tšitiso ea EMI.

Mojule o sebetsa ho tloha ho matla a le mong + 3.3V le lipontšo tsa taolo ea lefats'e ea LVCMOS / LVTTL tse kang Module Present, Reset, Interrupt le Low Power Mode li fumaneha ka li-module.Khokahano ea serial ea 2-wire e fumaneha ho romella le ho amohela matšoao a thata a taolo le ho fumana tlhaiso-leseling ea tlhahlobo ea dijithale.Liteishene ka bomong li ka rarolloa 'me likanale tse sa sebelisoeng li ka koaloa bakeng sa maemo a phahameng a moralo.

TQPM10 e entsoe ka sebopeho sa sebopeho, khokahano ea optical/electric le interface ea tlhahlobo ea dijithale ho latela Tumellano ea Multi-Source ea QSFP (MSA).E etselitsoe ho kopana le maemo a thata ka ho fetisisa a ts'ebetso ea kantle ho kenyelletsa mocheso, mongobo le tšitiso ea EMI.Mojule o fana ka ts'ebetso e phahameng haholo le kopanyo ea likarolo, e fumanehang ka sebopeho sa serial sa terata tse peli.

Feletseng Maximum Ratings

Paramethara

Letšoao

Min.

E tloaelehileng

Max.

Yuniti

Mocheso oa polokelo

TS

-40

 

+85

°C

Ho fana ka Voltage

VCCT, R

-0.5

 

4

V

Mongobo o Batlang

RH

0

 

85

%

E khothalelitsoeTikoloho ya Tshebetso:

Paramethara

Letšoao

Min.

E tloaelehileng

Max.

Yuniti

Case operation Temperature

TC

0

 

+70

°C

Ho fana ka Voltage

VCCT, R

+3,13

3.3

+3,47

V

Phepelo ea Hona Joale

ICC

 

 

1000

mA

Pheliso ea Matla

PD

 

 

3.5

W

Litšobotsi tsa Motlakase(TOP = 0 ho isa ho 70 °C, VCC = 3.13 ho 3.47 Volts

Paramethara

Letšoao

Min

Tlanya

Max

Yuniti

Hlokomela

Sekhahla sa Lintlha ka Channel

 

-

10.3125

11.2

Gbps

 

Tšebeliso ea Matla

 

-

2.5

3.5

W

 

Phepelo ea Hona Joale

Icc

 

0.75

1.0

A

 

Laola I/O Voltage-High

VIH

2.0

 

Vcc

V

 

Laola I/O Voltage-Low

VIL

0

 

0.7

V

 

Inter-Channel Skew

TSK

 

 

150

Ps

 

RESETL Nako

 

 

10

 

Us

 

RESETL Nako ea ho hlakisa

 

 

 

100

ms

 

Matla ka Nako

 

 

 

100

ms

 

Phetiso
Mamello ea Mamello ea Voltage e le 'Ngoe

 

0.3

 

4

V

1

Mokhoa o tloaelehileng Mamello ea Voltage

 

15

 

 

mV

 

Fetisetsa Phano ea Matla a Kenyellelitsoeng

VI

150

 

1200

mV

 

Fetisetsa Input Diff Impedance

ZIN

85

100

115

 

 

Jitter e Itšetlehileng ka Lintlha

DDJ

 

0.3

 

UI

 

Moamoheli
Mamello ea Mamello ea Voltage e le 'Ngoe

 

0.3

 

4

V

 

Rx Output Diff Voltage

Vo

370

600

950

mV

 

Rx Output Rise and Fall Voltage

Tr/Tf

 

 

35

ps

1

Kakaretso ea Jitter

TJ

 

0.3

 

UI

 

Hlokomela:

  1. 2080%

Optical Parameters(TOP = 0 ho isa ho 70°C, VCC = 3.0 ho isa ho 3.6 Volts)

Paramethara

Letšoao

Min

Tlanya

Max

Yuniti

Ref.

Phetiso

 

 

Kabelo ea Wavelength

 

1300

1311

1320

nm

 

Side-mode Suppression Ratio

SMSR

30

-

-

dB

 

Karolelano ea Matla a Optical ka Channel ka 'ngoe

 

-5

-

+1

dBm

 

TDP, Lane ka 'ngoe

TDP

 

 

2.3

dB

 

Karolelano ea Phello

ER

3.5

-

-

dB

 
Transmitter Eye Mask Definition {X1, X2, X3, Y1, Y2, Y3}

 

{0.25, 0.4, 0.45, 0.25, 0.28, 0.4}    

 

 
Mamello ea Tahlehelo ea Optical Return

 

-

-

20

dB

 

Karolelano ea ho Launch OFF Transmitter, Lane ka 'ngoe

Poff

 

 

-30

dBm

 

Lerata le Matla a Batlang

Rin

 

 

-128

dB/HZ

1

Mamello ea Tahlehelo ea Optical Return

 

-

-

12

dB

 

Moamoheli
Tšenyo Moetla

THd

3.3

 

 

dBm

1
Karolelano ea Matla ho Kenyelletso ea Moamoheli, Lane ka 'ngoe

R

-12.6

 

0

dBm

 

Fumana Motlakase oa 3 dB kaholimo ho Khaoha Maqhubu, Lane ka 'ngoe

 

 

 

12.3

GHz

 

RSSI Ho Nepaha

 

-2

 

2

dB

 

Reflectance ea moamoheli

Rrx

 

 

-26

dB

 

Receiver Power (OMA), Lane ka 'ngoe

 

-

-

3.5

dBm

 

Fumana Motlakase oa 3 dB ka holimo ho Cutoff Frequency, Lane ka 'ngoe

 

 

 

12.3

GHz

 

LOS De-Assert

TLHOKOMELOD

 

 

-13

dBm

 

Tsebo ea LOS

TLHOKOMELOA

-25

 

 

dBm

 

LOS Hysteresis

TLHOKOMELOH

0.5

 

 

dB

 

Hlokomela

  1. 12dB Pontšo

Diagnostic Monitoring Interface

Ts'ebetso ea ho lekola tlhahlobo ea dijithale e fumaneha ho tsohle QSFP+ LR4.Sesebelisoa sa serial sa 2-wire se fana ka mosebelisi ho ikopanya le mojule.Sebopeho sa mohopolo se bontšoa ka ho phalla.Sebaka sa mohopolo se hlophisitsoe ka tlase, leqephe le le leng, sebaka sa aterese sa li-byte tse 128 le maqephe a mangata a holimo a aterese.Sebopeho sena se lumella batho ho fumana liaterese tse leqepheng le ka tlaase, tse kang Interrupt Flags and Monitors.Kenyelletso ea nako e fokolang ea nako, joalo ka tlhaiso-leseling ea serial ID le litlhophiso tsa threshold, lia fumaneha ka ts'ebetso ea Khetho ea Leqephe.Aterese ea sehokelo e sebelisitsoeng ke A0xh mme e sebelisoa haholo bakeng sa data ea bohlokoa ea nako joalo ka ho ts'oaroa ha nako e le ho etsa hore ho baloe hanngoe bakeng sa data eohle e amanang le boemo ba tšitiso.Kamora tšitiso, ho netefalitsoe IntL, moamoheli a ka bala lebala la folakha ho tseba mocha le mofuta oa folakha.

4 6 54 67

Page02 ke User EEPROM mme sebopeho sa eona se khethoa ke mosebelisi.

Tlhaloso e qaqileng ea memori e tlase le page00.page03 mohopolo o ka holimo ka kopo, bona tokomane ea SFF-8436.

Nako bakeng sa Taolo e Bonolo le Mesebetsi ea Boemo

Paramethara

Letšoao

Max

Yuniti

Maemo

Nako ea ho Qala t_init 2000 ms Nako ho tloha motlakase ho1, plug e chesang kapa moeli o nyolohang oa Reset ho fihlela mojule o sebetsa ka botlalo2
Seta bocha Nako ea Assert ea Init t_reset_init 2 μs Reset e hlahisoa ke boemo bo tlase bo bolelele ho feta bonyane ba nako ea ho letsa ha molumo o teng ho phini ea ResetL.
Seri Bus Hardware Ready Nako t_serial 2000 ms Nako ho tloha ka matla ho 1 ho fihlela mojule o arabela phetiso ea data holim'a bese ea 2-wire serial
Hlahloba Boitsebiso bo LokisitsoeNako t_data 2000 ms Nako ho tloha ho matla ho ea pele ho data e sa lokisoa, hanyane 0 ea Byte 2, e lahliloe mme IntL e tiisitsoe
Seta bocha Nako ea Assert t_reset 2000 ms Nako ho tloha moeling o nyolohang holim'a pinana ea ResetL ho fihlela module e sebetsa ka botlalo2
LPMode Assert Nako ton_LPMode 100 μs Nako ho tloha ho netefatso ea LPMode (Vin: LPMode = Vih) ho fihlela tšebeliso ea matla ea module e kena maemong a tlase a Matla.
Nako ea IntL Assert ton_IntL 200 ms Nako ho tloha ho hlaha ha boemo bo bakang IntL ho fihlela Vout:IntL = Vol
IntL Dessert Nako toff_IntL 500 μs toff_IntL 500 μs Nako ho tloha ka ho hlakileng ho bala3 ts'ebetso ea folakha e amanang le eona ho fihlela Vout:IntL = Voh.Sena se kenyelletsa linako tsa sejo sa Rx LOS, Tx Fault le likotoana tse ling tsa folakha.
Rx LOS Assert Nako ton_los 100 ms Nako ho tloha seterekeng sa Rx LOS ho isa ho Rx LOS bit set mme IntL e tiisitse
Folakha Assert Nako thane_folakha 200 ms Nako ea ho tloha ha boemo bo hlahisa folakha ho isa ho sete ea lifolakha tse amanang le IntL e tiisitse
Mask Assert Nako ton_mask 100 ms Nako ho tloha mask bit set4 ho fihlela polelo e amanang le IntL e thibetsoe
Nako ea Mask De-assert toff_mask 100 ms Nako ho tloha maske bit e hlakotsoe4 ho fihlela ts'ebetso e amanang le IntlL e qala hape
ModSelL Assert Nako ton_ModSelL 100 μs Nako ho tloha ho netefatso ea ModSelL ho fihlela mojule o arabela phetiso ea data holim'a bese ea 2-wire serial
ModSelL Dessert Nako toff_ModSelL 100 μs Nako ea ho tloha ha ModSelL e felloa ke matla ho fihlela mojule o sa arabe phetiso ea data holim'a bese ea 2-wire serial.
Power_over-ride kapaPower-set Assert Nako ton_Tdown 100 ms Nako ho tloha ho P_Down bit set 4 ho fihlela mojule oa motlakase o kena maemong a tlase a Matla
Power_over-ride kapa Power-set De-assert Nako toff_Tdown 300 ms Nako ho tloha P_Down bit cleared4 ho fihlela module e sebetsa ka botlalo3

Hlokomela:

1. Power on e hlalosoa e le hang hang ha motlakase oa phepelo o fihla 'me o lula o le ho kapa ho feta boleng bo boletsoeng.

2. Ho sebetsa ka botlalo ho hlalosoa e le IntL e tiisitsoeng ka lebaka la data e seng e lokisitsoe, bit 0 byte 2 de-asserted.

3. E lekantsoe ho tloha pheletsong ea oache e oelang kamora ho emisa hanyane ea transaction.

4. E lekantsoe ho tloha pheletsong ea oache e oelang kamora ho emisa hanyane ea transaction.

Setšoantšo sa Thibelo ea Transceiver

 43

lKabelo ea Pin

54 

Setšoantšo sa Host Board Connector Block Pin Numbers le Lebitso

PinTlhaloso

Pin

Maikutlo

Letšoao

Lebitso/Tlhaloso

Ref.

1

 

GND

Fatše

1

2

CML-I

Tx2n

Phetiso e Felletseng ea Boitsebiso

 

3

CML-I

Tx2p

Sephetho sa Transmitter Non-Inverted Data

 

4

 

GND

Fatše

1

5

CML-I

Tx4n

Sehlahisoa sa Transmitter Inverted Data

 

6

CML-I

Tx4p

Sehlahisoa sa Transmitter e sa Fetohang

 

7

 

GND

Fatše

1

8

LVTTL-I

ModSelL

Khetha Mojule

 

9

LVTTL-I

KhutlisaL

Reset Module

 

10

 

VccRx

+3.3V Moamoheli oa Phepelo ea Matla

2

11

LVCMOS-I/O

SCL

2-Wire Serial Interface Clock

 

12

LVCMOS-I/O

SDA

2-Wire Serial Interface Data

 

13

 

GND

Fatše

1

14

CML-O

Rx3p

Sehlahisoa sa Moamoheli sa Inverted Data

 

15

CML-O

Rx3n

Sehlahisoa sa Data se sa Fetohang se Fumanang

 

16

 

GND

Fatše

1

17

CML-O

Rx1p

Sehlahisoa sa Moamoheli sa Inverted Data

 

18

CML-O

Rx1n

Sehlahisoa sa Data se sa Fetohang se Fumanang

 

19

 

GND

Fatše

1

20

 

GND

Fatše

1

21

CML-O

Rx2n

Sehlahisoa sa Moamoheli sa Inverted Data

 

22

CML-O

Rx2p

Sehlahisoa sa Data se sa Fetohang se Fumanang

 

23

 

GND

Fatše

1

24

CML-O

Rx4n

Sehlahisoa sa Moamoheli sa Inverted Data

 

25

CML-O

Rx4p

Sehlahisoa sa Data se sa Fetohang se Fumanang

 

26

 

GND

Fatše

1

27

LVTTL-O

ModPrsL

Module Present

 

28

LVTTL-O

IntL

Khaotsa

 

29

 

VccTx

+3.3V Phepelo ea Phepelo ea Matla

2

30

 

Vcc1

+3.3V Phepelo ea Matla

2

31

LVTTL-I

LPMode

Mokhoa o tlase oa Matla

 

32

 

GND

Fatše

1

33

CML-I

Tx3p

Sehlahisoa sa Transmitter Inverted Data

 

34

CML-I

Tx3n

Sehlahisoa sa Transmitter e sa Fetohang

 

35

 

GND

Fatše

1

36

CML-I

Tx1p

Sehlahisoa sa Transmitter Inverted Data

 

37

CML-I

Tx1n

Sehlahisoa sa Transmitter e sa Fetohang

 

38

 

GND

Fatše

1

Lintlha:

  1. GND ke lets'oao bakeng sa single le phepelo (matla) a tloaelehileng bakeng sa li-module tsa QSFP, Tsohle li tloaelehile ka har'a mojule oa QSFP mme li-voltages tsohle tsa module li bonts'itsoe ho bokhoni bona bo boletsoeng ka tsela e 'ngoe.Hokela tsena ka ho toba ho moamoheli boto letšoao common fatše sefofane.Sehlahisoa sa Laser se koetsoe ho TDIS >2.0V kapa se butsoe, se lumelletsoe ho TDIS <0.8V.
  2. VccRx, Vcc1 le VccTx ke bafani ba motlakase ba amohelang le ba fetisang matla 'me ba tla sebelisoa ka nako e le ngoe.E khothalelitsoeng ho sefa phepelo ea matla a boto e bontšitsoeng ka tlase.VccRx, Vcc1 le VccTx li ka kopanngoa ka hare ho mojule oa transceiver oa QSFP ka motsoako ofe kapa ofe.Lithako tsa sehokelo li lekantsoe ka ngoe bakeng sa boholo ba hajoale ba 500mA.

Optical Interface Lane le Mosebetsi

Palo e ka tlase e bonts'a mokhoa oa likarolo tse ngata tsa fiber ea sehokelo sa optical

 43 

Kantle Pono ea QSFP Module MPO

Nomoro ea fiber Kabelo ea Lane
1 RX0
2 RX1
3 RX2
4 RX3
5 Ha e Sebediswe
6 Ha e Sebediswe

Tafole ea Kabelo ea Lane

E khothalelitsoeng Potoloho

 54

Mechanical Dimensions

 65


  • E fetileng:
  • E 'ngoe:

  • Ngola molaetsa wa hao mona mme o re romele wona